Technologies

News information

ASML rides the dust, EUV lithography machine shipped more than half! Speed ​​up the development of domestic semiconductor equipment!

Release on : Oct 23, 2021

ASML rides the dust, EUV lithography machine shipped more than half! Speed ​​up the development of domestic semiconductor equipment!
ASML Lithography Machine
What is the current sales situation of semiconductor equipment manufacturers? Since the beginning of this year, under the insufficiency of chip production capacity, all parts of the world have accelerated the construction and expansion of production, which has driven the continuous high growth of sales of semiconductor equipment manufacturers. Recently, ASML announced its third-quarter financial report, showing that sales performance is still increasing greatly. The performance forecast of the domestic semiconductor equipment leader North China Chuang also shows that net profit is expected to increase by one to two times.

However, judging from the current overall situation of semiconductor equipment abroad, although the sales and shipments of semiconductor equipment are still growing, the growth rate has begun to slow down compared with the previous period. Some organizations have stated that semiconductor equipment has terminated the continuous month-on-month growth trend.

ASML EUV lithography machine business revenue set a new record

The world's leading lithography machine manufacturer ASML recently announced its latest quarterly results. The financial report shows that under GAAP accounting standards, ASML's third-quarter net sales of 5.241 billion euros (approximately 39 billion yuan), a year-on-year increase of 32.4%, and a net profit of 17.40 Billion euros (approximately 13 billion yuan), an increase of 63.8% year-on-year. Among them, the gross profit margin has also risen to 51.7%, compared with 50.9% in the previous quarter.

ASMLEUV lithography machine business shipments and revenue set a new record. According to the financial report, EUV sales in the third quarter of this year accounted for 54% of the company’s total sales, while this accounted for 45% in the second quarter. As for the manufacturers of logic chips and memory chips, from a geographical point of view, 45% of ASMLEUV lithography machines are shipped to Taiwan, 33% are shipped to South Korea, and 10% are shipped to the United States and China.
 

ASML President and CEO Peter Wennink said that the company's new orders in the third quarter reached 6.2 billion euros (approximately 46.2 billion yuan), of which 2.9 billion euros came from EUV orders. Customer demand for lithography systems is still high, mainly because digital transformation and chip shortages drive market demand for memory and logic chips. It is expected that the fourth quarter of this year will achieve revenue of approximately 4.9 billion to 5.2 billion euros.

North Huachuang Q3 net profit increased by 100-180% year-on-year

A few days ago, the domestic semiconductor equipment leader North China Chuang also issued a performance forecast. According to the announcement, the third quarter of North China Chuang is expected to achieve operating income of 2.156-6.594 billion yuan, a year-on-year increase of 30-80%, and net profit attributable to listed companies is 285 million to 399 million yuan. , An increase of 100-180% year-on-year.
 

The high growth of North Huachuang's performance is still benefited from the increase in downstream market demand. At the same time, the pace of introducing various new equipment of North Huachuang into the market is accelerating, including etching machines, PVD, CVD, cleaning machines, etc., and the penetration rate in the client is gradually To improve, the company’s semiconductor equipment has been sold in batches in the mainstream production lines of the semiconductor industry.

Zhichun Technology won the second phase investment of the big fund to accelerate the development of domestic cleaning equipment

Benefiting from the current shortage of chip production capacity, the vigorous construction and expansion of factories around the world, and the promotion of domestic substitution, domestic semiconductor equipment gradually has more application opportunities and space, and the performance of cleaning equipment is obvious.

Cleaning equipment is an important link in the manufacturing of the semiconductor industry chain. According to data from the Taiwan Industrial Technology Research Institute, the market for semiconductor cleaning equipment in 2020 will reach 4.9 billion U.S. dollars and will reach 6.7 billion U.S. dollars in 2025. At present, the localization rate of cleaning equipment is about 20%, and the main manufacturers include North Huachuang, Shengmei Semiconductor, Zhichun Technology, and Xinyuan Micro.

Shengmei Semiconductor is a leading domestic cleaning equipment manufacturer. It has announced the smooth delivery of 2000 chambers of wet equipment. The current shipments have accumulated more than 300 units. The products include monolithic SAPS megasonic cleaning equipment, monolithic TEBO megasonic cleaning equipment, and single-chip TEBO megasonic cleaning equipment. The company's product portfolio covers more than 80% of the cleaning equipment market, and the domestic market share will reach 23% in 2020.

Zhichun Technology issued an announcement a few days ago that its subsidiary Zhiwei Technology will increase capital and shares, and introduce a number of important shareholders, including the second phase of the Big Fund, the mixed reform fund, etc., and Zhiwei Technology’s main business is semiconductor wet cleaning equipment. Since its establishment, the company has received more than 160 orders for wet process equipment.

According to industry analysts, the increase in the holding of cleaning equipment companies by the National Team Fund will firstly help Zhiwei Technology to strengthen cooperation with domestic leading semiconductor companies and promote their future development. Secondly, it reveals that the market is optimistic about the company and the future of the field. Conducive to the future development of cleaning equipment.

Semiconductor equipment shipments in North America and Japan have slowed down, and opportunities for growth in the domestic market remain

Semiconductor equipment has experienced rapid growth in the past period of time. Recently, shipments in North America and Japan are beginning to slow down. North American semiconductor equipment shipments in August increased significantly year-on-year, reaching 37.56%, but it fell 5.38% month-on-month, ending the eighth consecutive month of month-on-month growth in shipments; Japanese semiconductor equipment shipments increased by 30.42% year-on-year, a month-on-month increase The range is relatively small, at 2.07%.

However, the current and future domestic planned expansions are large, and manufacturers are also increasing investment in mature processes. According to institutional estimates, the future capacity of domestic fabs, including the 8-inch line capacity of about 270,000 pieces, 12 The production capacity of the inch line is about 615-62.5 million pieces, of which about 335,000 pieces are determined as mature process products in the 12-inch line. Therefore, there is obvious room for growth in domestic semiconductor equipment in the next three years.